Nanolithography

Advanced lithography techniques for nanoscale patterning

208 companies 26 countries
N

Nanometrics (Onto Innovation)

Wilmington, United States

Process control metrology and inspection systems for advanced semiconductor manufacturing

NYSE: ONTO
V

Veeco Instruments

Plainview, United States

Leading supplier of process equipment for semiconductor, data storage, and advanced packaging applications

NASDAQ: VECO
J

JEOL Ltd

Tokyo, Japan

Global supplier of electron microscopes, mass spectrometers, and other analytical instruments for nanotechnology

TYO: 6951
H

Hitachi High-Tech

Tokyo, Japan

Global leader in electron microscopy and semiconductor manufacturing equipment for nanoscale analysis

I

Imec

Leuven, Belgium

World-leading research and development hub for nanoelectronics and digital technologies

research
I

Intel Labs

Santa Clara, United States

Advanced technology research including nanoscale transistor development and quantum computing

research
T

TSMC

Hsinchu, Taiwan

World's largest dedicated semiconductor foundry, leading in advanced nanoscale chip manufacturing

NYSE: TSM
A

ASML

Veldhoven, Netherlands

World leader in photolithography equipment including EUV systems for sub-7nm chip manufacturing

NASDAQ: ASML
A

Applied Materials

Santa Clara, United States

Leading semiconductor equipment company providing nanofabrication solutions for chip manufacturing

NASDAQ: AMAT
L

Lam Research

Fremont, United States

Global supplier of wafer fabrication equipment for semiconductor manufacturing at the nanoscale

NASDAQ: LRCX
K

KLA Corporation

Milpitas, United States

Leader in process control and yield management for semiconductor manufacturing at nanoscale

NASDAQ: KLAC
N

Nanoscribe

Karlsruhe, Germany

Pioneer in 3D microprinting using two-photon polymerization for nanoscale fabrication

M

Molecular Imprints

Austin, United States

Pioneer in nanoimprint lithography technology for high-volume manufacturing

N

NanoInk

Skokie, United States

Developer of dip-pen nanolithography and nanoprinting technologies

M

MIT.nano

Cambridge, United States

MIT's open-access facility for nanoscale research and fabrication

university
S

Stanford Nanofabrication Facility

Stanford, United States

User facility providing nanofabrication capabilities for academic and industrial research

university
C

Cornell NanoScale Facility

Ithaca, United States

National user facility for nanoscale science and technology research

university
T

Twist Bioscience

South San Francisco, United States

Twist Bioscience, founded in 2013 in South San Francisco, California, has revolutionized DNA synthesis through its innovative silicon-based nanoscale manufacturing platform. Trading on NASDAQ under ticker TWST, the company employs 500-1000 people and represents a convergence of semiconductor nanotechnology and synthetic biology. Twist's proprietary synthesis platform miniaturizes traditional DNA synthesis chemistry onto silicon chips using semiconductor photolithography and nanofabrication techniques, enabling massively parallel synthesis of oligonucleotides in nanoscale wells. This breakthrough reduces reagent consumption by over 1000-fold compared to conventional column-based synthesis while dramatically increasing throughput and reducing costs. The silicon-based approach allows Twist to synthesize millions of distinct DNA sequences simultaneously on a single chip, with each synthesis occurring in nanoliter or picoliter reaction volumes. The company's core products include custom synthetic genes, gene fragments, oligonucleotide pools, and next-generation sequencing libraries used in drug discovery, agricultural biotechnology, industrial chemicals production, and academic research. Twist has pioneered applications in DNA data storage, leveraging the massive information density of DNA molecules to archive digital data at nanoscale dimensions, with potential to revolutionize long-term data storage. The platform enables rapid design-build-test cycles for synthetic biology applications, accelerating development of therapeutic antibodies, enzymes, and novel biomolecules. Twist's nanotechnology-enabled manufacturing approach addresses the growing demand for synthetic DNA across biotechnology sectors, supporting applications from CRISPR gene editing to vaccine development. The company's success demonstrates how semiconductor nanofabrication techniques can transform biological manufacturing, creating a scalable, high-precision platform for the programmable synthesis of genetic material. As synthetic biology continues to expand, Twist's nanomanufacturing capabilities position it as critical infrastructure for biotechnology innovation, enabling researchers and companies worldwide to access high-quality synthetic DNA quickly and affordably.

NASDAQ: TWST
A

A*STAR IME

Singapore, Singapore

Singapore's leading microelectronics research institute

research
N

NIST Center for Nanoscale Science and Technology

Gaithersburg, United States

US national nanotechnology research center

research
S

SK Hynix

Icheon, South Korea

World's second-largest memory chip maker using advanced nanofabrication

KOSPI: 000660 public
M

Micron Technology

Boise, United States

Major memory and storage solutions company using nanotechnology

NASDAQ: MU public
G

GlobalFoundries

Malta, United States

Leading semiconductor foundry with advanced nanoscale manufacturing

NASDAQ: GFS public
U

UMC

Hsinchu, Taiwan

Major semiconductor foundry with nanoscale manufacturing capabilities

TWSE: 2303 public
S

SMIC

Shanghai, China

China's largest semiconductor foundry

HKEX: 981 public
T

Tower Semiconductor

Migdal HaEmek, Israel

Specialty semiconductor foundry with analog and MEMS capabilities

NASDAQ: TSEM public
X

X-FAB

Tessenderlo, Belgium

European specialty foundry for MEMS and analog semiconductors

Euronext Paris: XFAB public
S

Soitec

Bernin, France

World leader in engineered substrates for semiconductor industry

Euronext Paris: SOI public
S

Shin-Etsu Chemical

Tokyo, Japan

World's largest silicon wafer and semiconductor materials producer

TSE: 4063 public
S

SUMCO

Tokyo, Japan

Second-largest silicon wafer producer globally

TSE: 3436 public
S

Siltronic

Munich, Germany

Major European silicon wafer manufacturer

XETRA: WAF public
C

Cabot Microelectronics

Aurora, United States

Global leader in CMP slurries and polishing pads

NASDAQ: CCMP public
N

Nanometrics (KLA)

Milpitas, United States

Nanoscale process control metrology acquired by KLA

C

Camtek

Migdal HaEmek, Israel

Leading supplier of inspection and metrology equipment for advanced packaging

NASDAQ: CAMT public
B

Boston Micro Fabrication

Maynard, United States

Developer of micro-precision 3D printing systems

E

Exaddon

Zurich, Switzerland

Developer of electrochemical additive manufacturing for metal microstructures

N

Nano Ink (formerly NanoInk)

Skokie, United States

Pioneer in dip-pen nanolithography technology

N

NanoSciences

Tokyo, Japan

Japanese manufacturer of nanolithography and patterning equipment

R

Raith

Dortmund, Germany

World leader in nanofabrication and e-beam lithography

E

Elionix

Tokyo, Japan

Japanese manufacturer of electron beam lithography systems

N

NuFlare Technology

Numazu, Japan

Leading manufacturer of mask writers and e-beam lithography for EUV

TSE: 6256 public
S

STMicroelectronics MEMS

Geneva, Switzerland

World's largest MEMS manufacturer for consumer and automotive

NYSE: STM public
S

Silex Microsystems

Jarfalla, Sweden

Pure-play MEMS foundry for advanced microsystems

T

Teledyne MEMS

Thousand Oaks, United States

Advanced MEMS foundry and device manufacturer

NYSE: TDY public
V

Veeco Instruments

Plainview, United States

Thin film deposition and etch equipment for semiconductors

NASDAQ: VECO public
O

Oxford Instruments Plasma Technology

Bristol, United Kingdom

Plasma processing and deposition systems for nanofabrication

LSE: OXIG public
S

SPTS Technologies

Newport, United Kingdom

Etch and deposition equipment for advanced packaging and MEMS

P

Plasma-Therm

St. Petersburg, United States

Plasma etch and deposition for compound semiconductors

J

JSR Corporation

Tokyo, Japan

Advanced materials for semiconductor lithography including EUV resists

TSE: 4185 public
T

Tokyo Ohka Kogyo

Kawasaki, Japan

Leading photoresist manufacturer for semiconductor lithography

TSE: 4186 public
N

Nikon Instruments

Tokyo, Japan

Semiconductor lithography systems and advanced microscopes

TSE: 7731 public
C

Canon Semiconductor Equipment

Tokyo, Japan

Nanoimprint lithography and semiconductor equipment

TSE: 7751 public
S

Samsung Electronics Foundry

Hwaseong, South Korea

Advanced semiconductor foundry with sub-5nm processes

KRX: 005930 public
M

MediaTek

Hsinchu, Taiwan

Fabless semiconductor company using advanced nano nodes

TWSE: 2454 public
A

ASE Technology

Kaohsiung, Taiwan

World's largest semiconductor packaging and testing company

NYSE: ASX public
P

Powerchip Semiconductor

Hsinchu, Taiwan

Specialty foundry for memory and logic ICs

TWSE: 6770 public
A

AMEC

Shanghai, China

Advanced semiconductor etch equipment for leading nodes

SSE STAR: 688012 public
M

Merck KGaA Electronics

Darmstadt, Germany

Specialty materials for semiconductors and displays

XETRA: MRK public
S

Süss MicroTec

Garching, Germany

Mask aligners and lithography equipment for MEMS

XETRA: SMHN public
B

BESI

Duiven, Netherlands

Advanced semiconductor packaging equipment

EURONEXT: BESI public
A

ASML Brion

San Jose, United States

Computational lithography software for advanced patterning

O

Orbotech

Yavne, Israel

PCB and display inspection equipment, now part of KLA

N

NVIDIA

Santa Clara, United States

Leading GPU and AI chip company using advanced nano nodes

NASDAQ: NVDA public
A

AMD

Santa Clara, United States

Leading CPU and GPU company with advanced node designs

NASDAQ: AMD public
M

Micron Technology

Boise, United States

Major memory manufacturer with advanced DRAM and NAND

NASDAQ: MU public
W

Western Digital

San Jose, United States

Major flash memory and storage company

NASDAQ: WDC public
T

Texas Instruments

Dallas, United States

Leading analog and embedded semiconductor company

NASDAQ: TXN public
N

Nordson Electronics

Westlake, United States

Precision dispensing and plasma treatment for electronics

NASDAQ: NDSN public
O

Onto Innovation

Wilmington, United States

Process control and lithography systems for semiconductors

NYSE: ONTO public
U

Unisem

Ipoh, Malaysia

Semiconductor packaging and test services

MYX: UNISEM public
R

Resonac Holdings

Tokyo, Japan

Semiconductor materials from Showa Denko and Hitachi Chemical merger

TSE: 4004 public
D

Dupont Electronics

Wilmington, United States

Advanced materials for semiconductor manufacturing

NYSE: DD public
B

Brewer Science

Rolla, United States

Advanced lithography materials including anti-reflective coatings

D

Dow Electronic Materials

Midland, United States

CMP slurries and specialty materials for electronics

NYSE: DOW public
F

Fujifilm Electronic Materials

Tokyo, Japan

Photoresists and electronic materials for semiconductors

TSE: 4901 public
S

Sumitomo Chemical Electronic Materials

Tokyo, Japan

Photoresists and display materials

TSE: 4005 public
M

Mycronic

Täby, Sweden

Mask writers and advanced electronics assembly equipment

OMX: MYCR public
O

Obducat

Lund, Sweden

Nanoimprint lithography systems for semiconductors

OMX: OBDU public
N

NIL Technology

Kongens Lyngby, Denmark

Nanoimprint lithography for optical and biomedical devices

O

Okmetic

Vantaa, Finland

High-quality silicon wafers for sensors and MEMS

S

Siltronic Singapore

Singapore, Singapore

Silicon wafer manufacturing facility in Singapore

S

STATS ChipPAC

Singapore, Singapore

Advanced semiconductor packaging and test services

M

Micron Singapore

Singapore, Singapore

Major memory manufacturing and R&D facility

H

Hana Micron

Bac Ninh, Vietnam

Semiconductor packaging services in Vietnam

KOSDAQ: 067310 public
S

Samsung Vietnam

Thai Nguyen, Vietnam

Major Samsung electronics manufacturing complex

X

XTPL

Wrocław, Poland

Ultra-precise nanoprinting technology for electronics

WSE: XTP public
S

SemiConductor Laboratory

Chandigarh, India

India's semiconductor fab with MEMS and nano capabilities

research
O

ON Semiconductor Czech

Rožnov pod Radhoštěm, Czech Republic

Major semiconductor manufacturing facility

R

Rohinni

Coeur d'Alene, United States

Micro-LED placement technology

A

Australian National Fabrication Facility

Sydney, Australia

National nanofabrication network

research
N

Nanoss (NanoSemi)

Burlington, United States

AI-powered RF semiconductor optimization

U

Ulvac

Chigasaki, Japan

Vacuum deposition and processing systems

TSE: 6728 public
S

SCREEN Holdings

Kyoto, Japan

Semiconductor cleaning and coating equipment

TSE: 7735 public
L

Lasertec

Yokohama, Japan

EUV mask inspection systems

TSE: 6920 public
B

Berkeley Marvell Nanofabrication Laboratory

Berkeley, United States

UC Berkeley's premier nanofabrication facility

research
E

ETH Zurich FIRST Lab

Zurich, Switzerland

ETH's frontiers in research, space and time cleanroom

research
C

Cambridge Centre for Nanoscience

Cambridge, United Kingdom

University of Cambridge nanoscience research hub

research
E

EV Group Nanoimprint

St. Florian am Inn, Austria

Nanoimprint lithography and wafer bonding

N

Nanonex

Monmouth Junction, United States

Nanoimprint lithography pioneer

M

Morphotonics

Veldhoven, Netherlands

Roll-to-roll nanoimprint technology

T

Tokyo Electron

Tokyo, Japan

Major semiconductor equipment manufacturer for coaters, etchers, and deposition

TSE: 8035 public
K

Kokusai Electric

Tokyo, Japan

Batch processing equipment for semiconductor deposition and diffusion

TSE: 6525 public
C

Cohu

Poway, United States

Semiconductor test and inspection handlers and contactors

NASDAQ: COHU public
A

Axcelis Technologies

Beverly, United States

Ion implantation systems for semiconductor doping applications

NASDAQ: ACLS public
E

EV Group

St. Florian, Austria

Wafer bonding, lithography, and nanoimprint equipment for advanced packaging

T

Trumpf

Ditzingen, Germany

Industrial lasers and EUV source technology for semiconductor lithography

S

Shin-Etsu Chemical

Tokyo, Japan

Silicon wafers, silicones, and specialty chemicals

TSE: 4063 public
G

GlobalWafers

Hsinchu, Taiwan

Silicon wafers for logic, memory, and power semiconductors

TWSE: 6488 public
J

JSR Corporation

Tokyo, Japan

Photoresists, CMP slurries, and display materials for semiconductors

T

TOK (Tokyo Ohka Kogyo)

Kawasaki, Japan

Photoresists and process chemicals for semiconductor manufacturing

TSE: 4186 public
F

Fujifilm Electronic Materials

Tokyo, Japan

Photoresists, CMP slurries, and cleaning chemicals

TSE: 4901 public
D

DuPont Electronics & Industrial

Wilmington, United States

Advanced materials including photoresists, CMP, and packaging materials

NYSE: DD public
S

Sumitomo Chemical

Tokyo, Japan

Photoresists, polarizing films, and OLED materials

TSE: 4005 public
D

Dongjin Semichem

Hwaseong, South Korea

Photoresists and display materials for Korean semiconductor industry

KRX: 005290 public
N

Nikon Metrology

Leuven, Belgium

X-ray CT and metrology for industrial measurement

H

Heidelberg Instruments

Heidelberg, Germany

Maskless laser lithography for micro/nanofabrication

N

NTT Advanced Technology

Kawasaki, Japan

Photomasks and nanotechnology processing services

S

Stanford Nano Shared Facilities

Stanford, United States

Stanford's nanofabrication user facility

research
C

CNF (Cornell NanoScale Science and Technology Facility)

Ithaca, United States

Cornell's national nanofabrication user facility

research
N

Nanusens

Cambridge, United Kingdom

CMOS-MEMS integrated sensors

G

GalaxyCore

Shanghai, China

CMOS image sensors for mobile and automotive

SSE STAR: 688728 public
I

IIT Delhi Nanoscale Research Facility

New Delhi, India

State-of-the-art nanofabrication facility

research
U

University of Alberta nanoFAB

Edmonton, Canada

Nanofabrication and characterization facility

research
K

KLA Corporation

Milpitas, United States

Process control and yield management for semiconductors

NASDAQ: KLAC public
N

Nanotechnology Center NANO-N

Zelenograd, Russia

Nanofabrication and research facility

research
D

Dongwoo Fine-Chem

Iksan, South Korea

Electronic chemicals and semiconductor materials

KRX: 004000 public
E

ENF Technology

Hwaseong, South Korea

Semiconductor photoresists and process chemicals

KRX: 102710 public
C

Chang Chun Group

Taipei, Taiwan

Electronic chemicals and petrochemicals

L

LCY Chemical

Taipei, Taiwan

Electronic-grade chemicals and specialty materials

TWSE: 1704 public
H

Hua Hong Semiconductor

Shanghai, China

Specialty foundry for power and analog ICs

HKEX: 1347 public
Y

YMTC (Yangtze Memory)

Wuhan, China

3D NAND flash memory

G

Goodix Technology

Shenzhen, China

Fingerprint and touch sensors

SSE: 603160 public
S

SANAN IC

Xiamen, China

Compound semiconductor foundry (SiC, GaN)

R

Realtek Semiconductor

Hsinchu, Taiwan

Networking, audio, and multimedia ICs

TWSE: 2379 public
L

Liquidia Technologies

Morrisville, United States

PRINT nanoparticle technology for precisely engineered drug particles

NASDAQ: LQDA public
M

Malaysian Institute of Microelectronic Systems

Kuala Lumpur, Malaysia

National R&D center for ICT with semiconductor and nanotechnology research

research
A

ASML Holding

Veldhoven, Netherlands

World leader in EUV lithography systems for sub-7nm chip manufacturing

NASDAQ: ASML public
D

DISCO Corporation

Tokyo, Japan

Precision cutting, grinding, and polishing for semiconductors

TSE: 6146 public
K

Kulicke & Soffa

Fort Washington, United States

Wire and die bonding equipment for semiconductor packaging

NASDAQ: KLIC public
A

ACM Research

Fremont, United States

Single-wafer wet cleaning and electroplating equipment

NASDAQ: ACMR public
P

PDF Solutions

Santa Clara, United States

Process control and yield analytics for semiconductor manufacturing

NASDAQ: PDFS public
A

Amkor Technology

Tempe, United States

Leading OSAT for semiconductor packaging and test services

NASDAQ: AMKR public
J

JCET Group

Wuxi, China

China's largest semiconductor packaging and test company

SSE: 600584 public
C

ChipMOS Technologies

Hsinchu, Taiwan

Semiconductor assembly and test for memory and drivers

NASDAQ: IMOS public
K

King Yuan Electronics

Hsinchu, Taiwan

Independent semiconductor test house

TWSE: 2449 public
S

Shinko Electric Industries

Nagano, Japan

IC substrates and semiconductor packaging materials

TSE: 6967 public
M

MEMSCAP

Grenoble, France

Custom MEMS solutions and foundry services

Euronext: MEMS public
T

Teledyne DALSA MEMS

Bromont, Canada

MEMS foundry and custom sensor development

C

Coventor (Lam Research)

Cary, United States

MEMS design and simulation software (Lam Research)

J

Jenlas (Jenoptik)

Jena, Germany

High-power diode lasers and fiber-coupled laser systems for industrial and medical applications

XETRA: JEN public
S

Sisma

Piovene Rocchette, Italy

Metal AM systems specializing in jewelry and dental with high-precision laser technology

L

Lithoz

Vienna, Austria

Ceramic additive manufacturing with LCM technology for technical and medical ceramics

F

Fabric8Labs

San Diego, United States

Electrochemical additive manufacturing for high-purity metal micro-parts without powder or lasers

N

Nscrypt

Orlando, United States

Micro-dispensing and direct write technology for 3D printed electronics and conformal antennas

P

Protolabs

Maple Plain, United States

Digital manufacturing platform with rapid 3D printing, CNC machining and injection molding

NYSE: PRLB public
F

Fast Radius (SyBridge)

Chicago, United States

Cloud manufacturing platform with additive and traditional manufacturing capabilities

F

Fanuc Corporation

Oshino, Japan

World's largest maker of industrial robots and CNC systems for factory automation

TSE: 6954 public
K

Kawasaki Heavy Industries Robotics

Kobe, Japan

Industrial robot manufacturer with extensive range for various applications

TSE: 7012 public
S

Siemens Digital Industries

Nuremberg, Germany

Global technology powerhouse in industrial automation and digitalization

XETRA: SIE public
E

Evonetix

Cambridge, United Kingdom

DNA synthesis company using semiconductor technology for desktop DNA writing

Z

Zoox

Foster City, United States

Amazon subsidiary developing purpose-built autonomous vehicles for robotaxi service

N

Nikon Precision

Tokyo, Japan

Nikon semiconductor lithography systems division using advanced optics for chip manufacturing

TSE: 7731 public
F

Fiserv

Brookfield, United States

Financial services technology provider with payments and banking solutions

NYSE: FI public
A

Autodesk

San Francisco, United States

Design and engineering software company for architecture, construction, and manufacturing

NASDAQ: ADSK public
S

Samsung Electronics

Suwon, South Korea

South Korean conglomerate and world's largest technology company by revenue

KRX: 005930 public
C

Canon Inc

Tokyo, Japan

Japanese multinational corporation specializing in imaging and optical products

NYSE: CAJ public
N

Nikon Corporation

Tokyo, Japan

Japanese optical and imaging company making cameras and precision equipment

OTC: NINOY public
V

Valve Corporation

Bellevue, United States

Game developer and operator of Steam digital distribution platform

S

Scout24

Munich, Germany

Germany's leading property and auto marketplace

ETR: G24 public
T

TMC (Trimble TMS)

Nashville, United States

Transportation management and fleet technology solutions

NASDAQ: TRMB public
P

Patch

San Francisco, United States

API-first carbon credit marketplace and climate infrastructure

R

Reef Technology

Miami, United States

Proximity platform transforming parking lots into neighborhood hubs with ghost kitchens

C

Cruise

San Francisco, United States

GM-backed autonomous vehicle company operating robotaxis

H

Here Technologies

Amsterdam, Netherlands

Location data and mapping platform for automotive and enterprise

S

Shopify

Ottawa, Canada

Leading e-commerce platform enabling merchants to sell online and in-person

NYSE: SHOP public
N

NCR Voyix

Atlanta, United States

Technology company providing digital commerce solutions for retail and hospitality

NYSE: VYX public
G

GXO Logistics

Greenwich, United States

Pure-play contract logistics company

NYSE: GXO public
F

Fabric

Tel Aviv, Israel

Micro-fulfillment technology for on-demand delivery

F

Flowspace

Los Angeles, United States

Independent fulfillment network and software

T

Terran Orbital

Boca Raton, United States

Satellite solutions and manufacturing

NYSE: LLAP public
P

PreSonus

Baton Rouge, United States

Pro audio hardware and software

L

Lime

San Francisco, United States

Lime is a global leader in shared electric micro-mobility, operating dockless e-scooters and e-bikes in over 200 cities worldwide to provide affordable, sustainable first-mile and last-mile transportation solutions. Founded in 2017 in San Francisco with over $1 billion in funding and 500+ employees, Lime pioneered the dockless e-scooter industry and has facilitated over 400 million rides globally. The company's electric scooters and bikes are strategically deployed throughout urban areas, accessible through a mobile app that shows nearby vehicles, unlocks them via QR code, and handles per-minute billing. Lime's vehicles feature GPS tracking, cellular connectivity, and IoT sensors that enable operational management, theft prevention, and data collection on usage patterns. The platform uses dynamic pricing and incentive systems to manage vehicle distribution, encouraging users to ride to areas with vehicle shortages or park in preferred locations. Lime's operational excellence includes sophisticated algorithms for fleet rebalancing, charging logistics, and maintenance scheduling that keep vehicles available and functional. The company has shifted toward larger, more durable Gen 4 scooters designed for longevity and improved unit economics. Lime emphasizes sustainability, positioning micro-mobility as environmentally friendly alternatives to car trips that reduce emissions, congestion, and parking demand in cities. The platform partners with municipal governments and transit agencies to integrate into broader transportation networks, often operating under permits and regulatory frameworks. Lime's corporate programs enable businesses to subsidize employee commutes, while accessibility features make micro-mobility available to diverse populations. Despite challenges including vandalism, regulatory restrictions, and path to profitability, Lime has established itself as essential urban transportation infrastructure in cities embracing sustainable, car-free mobility options for short trips.

N

Nanometrics Incorporated

Milpitas, United States

Nanometer-scale process control metrology

NYSE: ONTO public
N

Nanoimprint Solutions

Tokyo, Japan

Nanoimprint lithography equipment and materials

N

Nanometrics Incorporated

Milpitas, United States

Advanced process control metrology for semiconductors

NYSE: ONTO public
M

Micralyne

Edmonton, Canada

MEMS and microfluidics foundry services

R

Raith

Dortmund, Germany

Electron beam lithography and nanofabrication systems

E

Elionix

Tokyo, Japan

High-resolution electron beam lithography systems

N

NanoBeam

Cambridge, United Kingdom

Electron beam lithography for research

C

Crestec

Tokyo, Japan

Electron beam lithography and nanopattering

N

Nanofabrication Technologies

Albuquerque, United States

Nanofabrication services and custom MEMS

C

Canatu

Helsinki, Finland

Carbon nanobud films for 3D touch surfaces

I

Imec

Leuven, Belgium

World-leading nanoelectronics and nanotechnology research center

research
N

NanoFab Centre

Edmonton, Canada

Open-access nanofabrication facility

research
S

Stanford Nanofabrication Facility

Stanford, United States

World-class university nanofabrication facility

research
C

Cornell NanoScale Facility

Ithaca, United States

NSF-supported national nanofabrication user facility

research
S

SUNY Poly CNSE

Albany, United States

Advanced semiconductor and nanotechnology research

research
S

SCREEN Semiconductor Nano

Kyoto, Japan

Semiconductor wafer cleaning and processing equipment

TSE: 7735 public
T

Tokyo Electron Nano

Tokyo, Japan

Nanoscale semiconductor processing equipment

TSE: 8035 public
A

Advantest Nano

Tokyo, Japan

Semiconductor test and nanoscale metrology systems

TSE: 6857 public
U

Ultratech (Veeco)

San Jose, United States

Laser spike annealing and lithography systems

N

Nanometrics (Onto Innovation)

Wilmington, United States

Advanced semiconductor metrology and inspection

NYSE: ONTO public
C

Camtek

Migdal HaEmek, Israel

Automated optical inspection for advanced packaging

NASDAQ: CAMT public
S

SCIL Nanoimprint Solutions

Eindhoven, Netherlands

Substrate conformal imprint lithography for nanometer resolution patterns on 300mm wafers

C

Canon Nanotechnologies

Austin, United States

Nanoimprint lithography equipment and materials for semiconductor manufacturing

C

Chiral

Zurich, Switzerland

Robotic machines for automated wafer-scale integration of nanomaterials into electronics

N

Nano3Dprint

San Jose, United States

Multi-material 3D printers with 50nm resolution for electronics and biomolecules

U

UpNano

Vienna, Austria

High-speed two-photon 3D printing for microoptics and biomedical applications