Nanolithography
Advanced lithography techniques for nanoscale patterning
Nanometrics (Onto Innovation)
Wilmington, United States
Process control metrology and inspection systems for advanced semiconductor manufacturing
Veeco Instruments
Plainview, United States
Leading supplier of process equipment for semiconductor, data storage, and advanced packaging applications
JEOL Ltd
Tokyo, Japan
Global supplier of electron microscopes, mass spectrometers, and other analytical instruments for nanotechnology
Hitachi High-Tech
Tokyo, Japan
Global leader in electron microscopy and semiconductor manufacturing equipment for nanoscale analysis
Imec
Leuven, Belgium
World-leading research and development hub for nanoelectronics and digital technologies
Intel Labs
Santa Clara, United States
Advanced technology research including nanoscale transistor development and quantum computing
TSMC
Hsinchu, Taiwan
World's largest dedicated semiconductor foundry, leading in advanced nanoscale chip manufacturing
ASML
Veldhoven, Netherlands
World leader in photolithography equipment including EUV systems for sub-7nm chip manufacturing
Applied Materials
Santa Clara, United States
Leading semiconductor equipment company providing nanofabrication solutions for chip manufacturing
Lam Research
Fremont, United States
Global supplier of wafer fabrication equipment for semiconductor manufacturing at the nanoscale
KLA Corporation
Milpitas, United States
Leader in process control and yield management for semiconductor manufacturing at nanoscale
Nanoscribe
Karlsruhe, Germany
Pioneer in 3D microprinting using two-photon polymerization for nanoscale fabrication
Molecular Imprints
Austin, United States
Pioneer in nanoimprint lithography technology for high-volume manufacturing
NanoInk
Skokie, United States
Developer of dip-pen nanolithography and nanoprinting technologies
MIT.nano
Cambridge, United States
MIT's open-access facility for nanoscale research and fabrication
Stanford Nanofabrication Facility
Stanford, United States
User facility providing nanofabrication capabilities for academic and industrial research
Cornell NanoScale Facility
Ithaca, United States
National user facility for nanoscale science and technology research
Twist Bioscience
South San Francisco, United States
Twist Bioscience, founded in 2013 in South San Francisco, California, has revolutionized DNA synthesis through its innovative silicon-based nanoscale manufacturing platform. Trading on NASDAQ under ticker TWST, the company employs 500-1000 people and represents a convergence of semiconductor nanotechnology and synthetic biology. Twist's proprietary synthesis platform miniaturizes traditional DNA synthesis chemistry onto silicon chips using semiconductor photolithography and nanofabrication techniques, enabling massively parallel synthesis of oligonucleotides in nanoscale wells. This breakthrough reduces reagent consumption by over 1000-fold compared to conventional column-based synthesis while dramatically increasing throughput and reducing costs. The silicon-based approach allows Twist to synthesize millions of distinct DNA sequences simultaneously on a single chip, with each synthesis occurring in nanoliter or picoliter reaction volumes. The company's core products include custom synthetic genes, gene fragments, oligonucleotide pools, and next-generation sequencing libraries used in drug discovery, agricultural biotechnology, industrial chemicals production, and academic research. Twist has pioneered applications in DNA data storage, leveraging the massive information density of DNA molecules to archive digital data at nanoscale dimensions, with potential to revolutionize long-term data storage. The platform enables rapid design-build-test cycles for synthetic biology applications, accelerating development of therapeutic antibodies, enzymes, and novel biomolecules. Twist's nanotechnology-enabled manufacturing approach addresses the growing demand for synthetic DNA across biotechnology sectors, supporting applications from CRISPR gene editing to vaccine development. The company's success demonstrates how semiconductor nanofabrication techniques can transform biological manufacturing, creating a scalable, high-precision platform for the programmable synthesis of genetic material. As synthetic biology continues to expand, Twist's nanomanufacturing capabilities position it as critical infrastructure for biotechnology innovation, enabling researchers and companies worldwide to access high-quality synthetic DNA quickly and affordably.
A*STAR IME
Singapore, Singapore
Singapore's leading microelectronics research institute
NIST Center for Nanoscale Science and Technology
Gaithersburg, United States
US national nanotechnology research center
SK Hynix
Icheon, South Korea
World's second-largest memory chip maker using advanced nanofabrication
Micron Technology
Boise, United States
Major memory and storage solutions company using nanotechnology
GlobalFoundries
Malta, United States
Leading semiconductor foundry with advanced nanoscale manufacturing
UMC
Hsinchu, Taiwan
Major semiconductor foundry with nanoscale manufacturing capabilities
SMIC
Shanghai, China
China's largest semiconductor foundry
Tower Semiconductor
Migdal HaEmek, Israel
Specialty semiconductor foundry with analog and MEMS capabilities
X-FAB
Tessenderlo, Belgium
European specialty foundry for MEMS and analog semiconductors
Soitec
Bernin, France
World leader in engineered substrates for semiconductor industry
Shin-Etsu Chemical
Tokyo, Japan
World's largest silicon wafer and semiconductor materials producer
SUMCO
Tokyo, Japan
Second-largest silicon wafer producer globally
Siltronic
Munich, Germany
Major European silicon wafer manufacturer
Cabot Microelectronics
Aurora, United States
Global leader in CMP slurries and polishing pads
Nanometrics (KLA)
Milpitas, United States
Nanoscale process control metrology acquired by KLA
Camtek
Migdal HaEmek, Israel
Leading supplier of inspection and metrology equipment for advanced packaging
Boston Micro Fabrication
Maynard, United States
Developer of micro-precision 3D printing systems
Exaddon
Zurich, Switzerland
Developer of electrochemical additive manufacturing for metal microstructures
Nano Ink (formerly NanoInk)
Skokie, United States
Pioneer in dip-pen nanolithography technology
NanoSciences
Tokyo, Japan
Japanese manufacturer of nanolithography and patterning equipment
Raith
Dortmund, Germany
World leader in nanofabrication and e-beam lithography
Elionix
Tokyo, Japan
Japanese manufacturer of electron beam lithography systems
NuFlare Technology
Numazu, Japan
Leading manufacturer of mask writers and e-beam lithography for EUV
STMicroelectronics MEMS
Geneva, Switzerland
World's largest MEMS manufacturer for consumer and automotive
Silex Microsystems
Jarfalla, Sweden
Pure-play MEMS foundry for advanced microsystems
Teledyne MEMS
Thousand Oaks, United States
Advanced MEMS foundry and device manufacturer
Veeco Instruments
Plainview, United States
Thin film deposition and etch equipment for semiconductors
Oxford Instruments Plasma Technology
Bristol, United Kingdom
Plasma processing and deposition systems for nanofabrication
SPTS Technologies
Newport, United Kingdom
Etch and deposition equipment for advanced packaging and MEMS
Plasma-Therm
St. Petersburg, United States
Plasma etch and deposition for compound semiconductors
JSR Corporation
Tokyo, Japan
Advanced materials for semiconductor lithography including EUV resists
Tokyo Ohka Kogyo
Kawasaki, Japan
Leading photoresist manufacturer for semiconductor lithography
Nikon Instruments
Tokyo, Japan
Semiconductor lithography systems and advanced microscopes
Canon Semiconductor Equipment
Tokyo, Japan
Nanoimprint lithography and semiconductor equipment
Samsung Electronics Foundry
Hwaseong, South Korea
Advanced semiconductor foundry with sub-5nm processes
MediaTek
Hsinchu, Taiwan
Fabless semiconductor company using advanced nano nodes
ASE Technology
Kaohsiung, Taiwan
World's largest semiconductor packaging and testing company
Powerchip Semiconductor
Hsinchu, Taiwan
Specialty foundry for memory and logic ICs
AMEC
Shanghai, China
Advanced semiconductor etch equipment for leading nodes
Merck KGaA Electronics
Darmstadt, Germany
Specialty materials for semiconductors and displays
Süss MicroTec
Garching, Germany
Mask aligners and lithography equipment for MEMS
BESI
Duiven, Netherlands
Advanced semiconductor packaging equipment
ASML Brion
San Jose, United States
Computational lithography software for advanced patterning
Orbotech
Yavne, Israel
PCB and display inspection equipment, now part of KLA
NVIDIA
Santa Clara, United States
Leading GPU and AI chip company using advanced nano nodes
AMD
Santa Clara, United States
Leading CPU and GPU company with advanced node designs
Micron Technology
Boise, United States
Major memory manufacturer with advanced DRAM and NAND
Western Digital
San Jose, United States
Major flash memory and storage company
Texas Instruments
Dallas, United States
Leading analog and embedded semiconductor company
Nordson Electronics
Westlake, United States
Precision dispensing and plasma treatment for electronics
Onto Innovation
Wilmington, United States
Process control and lithography systems for semiconductors
Unisem
Ipoh, Malaysia
Semiconductor packaging and test services
Resonac Holdings
Tokyo, Japan
Semiconductor materials from Showa Denko and Hitachi Chemical merger
Dupont Electronics
Wilmington, United States
Advanced materials for semiconductor manufacturing
Brewer Science
Rolla, United States
Advanced lithography materials including anti-reflective coatings
Dow Electronic Materials
Midland, United States
CMP slurries and specialty materials for electronics
Fujifilm Electronic Materials
Tokyo, Japan
Photoresists and electronic materials for semiconductors
Sumitomo Chemical Electronic Materials
Tokyo, Japan
Photoresists and display materials
Mycronic
Täby, Sweden
Mask writers and advanced electronics assembly equipment
Obducat
Lund, Sweden
Nanoimprint lithography systems for semiconductors
NIL Technology
Kongens Lyngby, Denmark
Nanoimprint lithography for optical and biomedical devices
Okmetic
Vantaa, Finland
High-quality silicon wafers for sensors and MEMS
Siltronic Singapore
Singapore, Singapore
Silicon wafer manufacturing facility in Singapore
STATS ChipPAC
Singapore, Singapore
Advanced semiconductor packaging and test services
Micron Singapore
Singapore, Singapore
Major memory manufacturing and R&D facility
Hana Micron
Bac Ninh, Vietnam
Semiconductor packaging services in Vietnam
Samsung Vietnam
Thai Nguyen, Vietnam
Major Samsung electronics manufacturing complex
XTPL
Wrocław, Poland
Ultra-precise nanoprinting technology for electronics
SemiConductor Laboratory
Chandigarh, India
India's semiconductor fab with MEMS and nano capabilities
ON Semiconductor Czech
Rožnov pod Radhoštěm, Czech Republic
Major semiconductor manufacturing facility
Rohinni
Coeur d'Alene, United States
Micro-LED placement technology
Australian National Fabrication Facility
Sydney, Australia
National nanofabrication network
Nanoss (NanoSemi)
Burlington, United States
AI-powered RF semiconductor optimization
Ulvac
Chigasaki, Japan
Vacuum deposition and processing systems
SCREEN Holdings
Kyoto, Japan
Semiconductor cleaning and coating equipment
Lasertec
Yokohama, Japan
EUV mask inspection systems
Berkeley Marvell Nanofabrication Laboratory
Berkeley, United States
UC Berkeley's premier nanofabrication facility
ETH Zurich FIRST Lab
Zurich, Switzerland
ETH's frontiers in research, space and time cleanroom
Cambridge Centre for Nanoscience
Cambridge, United Kingdom
University of Cambridge nanoscience research hub
EV Group Nanoimprint
St. Florian am Inn, Austria
Nanoimprint lithography and wafer bonding
Nanonex
Monmouth Junction, United States
Nanoimprint lithography pioneer
Morphotonics
Veldhoven, Netherlands
Roll-to-roll nanoimprint technology
Tokyo Electron
Tokyo, Japan
Major semiconductor equipment manufacturer for coaters, etchers, and deposition
Kokusai Electric
Tokyo, Japan
Batch processing equipment for semiconductor deposition and diffusion
Cohu
Poway, United States
Semiconductor test and inspection handlers and contactors
Axcelis Technologies
Beverly, United States
Ion implantation systems for semiconductor doping applications
EV Group
St. Florian, Austria
Wafer bonding, lithography, and nanoimprint equipment for advanced packaging
Trumpf
Ditzingen, Germany
Industrial lasers and EUV source technology for semiconductor lithography
Shin-Etsu Chemical
Tokyo, Japan
Silicon wafers, silicones, and specialty chemicals
GlobalWafers
Hsinchu, Taiwan
Silicon wafers for logic, memory, and power semiconductors
JSR Corporation
Tokyo, Japan
Photoresists, CMP slurries, and display materials for semiconductors
TOK (Tokyo Ohka Kogyo)
Kawasaki, Japan
Photoresists and process chemicals for semiconductor manufacturing
Fujifilm Electronic Materials
Tokyo, Japan
Photoresists, CMP slurries, and cleaning chemicals
DuPont Electronics & Industrial
Wilmington, United States
Advanced materials including photoresists, CMP, and packaging materials
Sumitomo Chemical
Tokyo, Japan
Photoresists, polarizing films, and OLED materials
Dongjin Semichem
Hwaseong, South Korea
Photoresists and display materials for Korean semiconductor industry
Nikon Metrology
Leuven, Belgium
X-ray CT and metrology for industrial measurement
Heidelberg Instruments
Heidelberg, Germany
Maskless laser lithography for micro/nanofabrication
NTT Advanced Technology
Kawasaki, Japan
Photomasks and nanotechnology processing services
Stanford Nano Shared Facilities
Stanford, United States
Stanford's nanofabrication user facility
CNF (Cornell NanoScale Science and Technology Facility)
Ithaca, United States
Cornell's national nanofabrication user facility
Nanusens
Cambridge, United Kingdom
CMOS-MEMS integrated sensors
GalaxyCore
Shanghai, China
CMOS image sensors for mobile and automotive
IIT Delhi Nanoscale Research Facility
New Delhi, India
State-of-the-art nanofabrication facility
University of Alberta nanoFAB
Edmonton, Canada
Nanofabrication and characterization facility
KLA Corporation
Milpitas, United States
Process control and yield management for semiconductors
Nanotechnology Center NANO-N
Zelenograd, Russia
Nanofabrication and research facility
Dongwoo Fine-Chem
Iksan, South Korea
Electronic chemicals and semiconductor materials
ENF Technology
Hwaseong, South Korea
Semiconductor photoresists and process chemicals
Chang Chun Group
Taipei, Taiwan
Electronic chemicals and petrochemicals
LCY Chemical
Taipei, Taiwan
Electronic-grade chemicals and specialty materials
Hua Hong Semiconductor
Shanghai, China
Specialty foundry for power and analog ICs
YMTC (Yangtze Memory)
Wuhan, China
3D NAND flash memory
Goodix Technology
Shenzhen, China
Fingerprint and touch sensors
SANAN IC
Xiamen, China
Compound semiconductor foundry (SiC, GaN)
Realtek Semiconductor
Hsinchu, Taiwan
Networking, audio, and multimedia ICs
Liquidia Technologies
Morrisville, United States
PRINT nanoparticle technology for precisely engineered drug particles
Malaysian Institute of Microelectronic Systems
Kuala Lumpur, Malaysia
National R&D center for ICT with semiconductor and nanotechnology research
ASML Holding
Veldhoven, Netherlands
World leader in EUV lithography systems for sub-7nm chip manufacturing
DISCO Corporation
Tokyo, Japan
Precision cutting, grinding, and polishing for semiconductors
Kulicke & Soffa
Fort Washington, United States
Wire and die bonding equipment for semiconductor packaging
ACM Research
Fremont, United States
Single-wafer wet cleaning and electroplating equipment
PDF Solutions
Santa Clara, United States
Process control and yield analytics for semiconductor manufacturing
Amkor Technology
Tempe, United States
Leading OSAT for semiconductor packaging and test services
JCET Group
Wuxi, China
China's largest semiconductor packaging and test company
ChipMOS Technologies
Hsinchu, Taiwan
Semiconductor assembly and test for memory and drivers
King Yuan Electronics
Hsinchu, Taiwan
Independent semiconductor test house
Shinko Electric Industries
Nagano, Japan
IC substrates and semiconductor packaging materials
MEMSCAP
Grenoble, France
Custom MEMS solutions and foundry services
Teledyne DALSA MEMS
Bromont, Canada
MEMS foundry and custom sensor development
Coventor (Lam Research)
Cary, United States
MEMS design and simulation software (Lam Research)
Jenlas (Jenoptik)
Jena, Germany
High-power diode lasers and fiber-coupled laser systems for industrial and medical applications
Sisma
Piovene Rocchette, Italy
Metal AM systems specializing in jewelry and dental with high-precision laser technology
Lithoz
Vienna, Austria
Ceramic additive manufacturing with LCM technology for technical and medical ceramics
Fabric8Labs
San Diego, United States
Electrochemical additive manufacturing for high-purity metal micro-parts without powder or lasers
Nscrypt
Orlando, United States
Micro-dispensing and direct write technology for 3D printed electronics and conformal antennas
Protolabs
Maple Plain, United States
Digital manufacturing platform with rapid 3D printing, CNC machining and injection molding
Fast Radius (SyBridge)
Chicago, United States
Cloud manufacturing platform with additive and traditional manufacturing capabilities
Fanuc Corporation
Oshino, Japan
World's largest maker of industrial robots and CNC systems for factory automation
Kawasaki Heavy Industries Robotics
Kobe, Japan
Industrial robot manufacturer with extensive range for various applications
Siemens Digital Industries
Nuremberg, Germany
Global technology powerhouse in industrial automation and digitalization
Evonetix
Cambridge, United Kingdom
DNA synthesis company using semiconductor technology for desktop DNA writing
Zoox
Foster City, United States
Amazon subsidiary developing purpose-built autonomous vehicles for robotaxi service
Nikon Precision
Tokyo, Japan
Nikon semiconductor lithography systems division using advanced optics for chip manufacturing
Fiserv
Brookfield, United States
Financial services technology provider with payments and banking solutions
Autodesk
San Francisco, United States
Design and engineering software company for architecture, construction, and manufacturing
Samsung Electronics
Suwon, South Korea
South Korean conglomerate and world's largest technology company by revenue
Canon Inc
Tokyo, Japan
Japanese multinational corporation specializing in imaging and optical products
Nikon Corporation
Tokyo, Japan
Japanese optical and imaging company making cameras and precision equipment
Valve Corporation
Bellevue, United States
Game developer and operator of Steam digital distribution platform
Scout24
Munich, Germany
Germany's leading property and auto marketplace
TMC (Trimble TMS)
Nashville, United States
Transportation management and fleet technology solutions
Patch
San Francisco, United States
API-first carbon credit marketplace and climate infrastructure
Reef Technology
Miami, United States
Proximity platform transforming parking lots into neighborhood hubs with ghost kitchens
Cruise
San Francisco, United States
GM-backed autonomous vehicle company operating robotaxis
Here Technologies
Amsterdam, Netherlands
Location data and mapping platform for automotive and enterprise
Shopify
Ottawa, Canada
Leading e-commerce platform enabling merchants to sell online and in-person
NCR Voyix
Atlanta, United States
Technology company providing digital commerce solutions for retail and hospitality
GXO Logistics
Greenwich, United States
Pure-play contract logistics company
Fabric
Tel Aviv, Israel
Micro-fulfillment technology for on-demand delivery
Flowspace
Los Angeles, United States
Independent fulfillment network and software
Terran Orbital
Boca Raton, United States
Satellite solutions and manufacturing
PreSonus
Baton Rouge, United States
Pro audio hardware and software
Lime
San Francisco, United States
Lime is a global leader in shared electric micro-mobility, operating dockless e-scooters and e-bikes in over 200 cities worldwide to provide affordable, sustainable first-mile and last-mile transportation solutions. Founded in 2017 in San Francisco with over $1 billion in funding and 500+ employees, Lime pioneered the dockless e-scooter industry and has facilitated over 400 million rides globally. The company's electric scooters and bikes are strategically deployed throughout urban areas, accessible through a mobile app that shows nearby vehicles, unlocks them via QR code, and handles per-minute billing. Lime's vehicles feature GPS tracking, cellular connectivity, and IoT sensors that enable operational management, theft prevention, and data collection on usage patterns. The platform uses dynamic pricing and incentive systems to manage vehicle distribution, encouraging users to ride to areas with vehicle shortages or park in preferred locations. Lime's operational excellence includes sophisticated algorithms for fleet rebalancing, charging logistics, and maintenance scheduling that keep vehicles available and functional. The company has shifted toward larger, more durable Gen 4 scooters designed for longevity and improved unit economics. Lime emphasizes sustainability, positioning micro-mobility as environmentally friendly alternatives to car trips that reduce emissions, congestion, and parking demand in cities. The platform partners with municipal governments and transit agencies to integrate into broader transportation networks, often operating under permits and regulatory frameworks. Lime's corporate programs enable businesses to subsidize employee commutes, while accessibility features make micro-mobility available to diverse populations. Despite challenges including vandalism, regulatory restrictions, and path to profitability, Lime has established itself as essential urban transportation infrastructure in cities embracing sustainable, car-free mobility options for short trips.
Nanometrics Incorporated
Milpitas, United States
Nanometer-scale process control metrology
Nanoimprint Solutions
Tokyo, Japan
Nanoimprint lithography equipment and materials
Nanometrics Incorporated
Milpitas, United States
Advanced process control metrology for semiconductors
Micralyne
Edmonton, Canada
MEMS and microfluidics foundry services
Raith
Dortmund, Germany
Electron beam lithography and nanofabrication systems
Elionix
Tokyo, Japan
High-resolution electron beam lithography systems
NanoBeam
Cambridge, United Kingdom
Electron beam lithography for research
Crestec
Tokyo, Japan
Electron beam lithography and nanopattering
Nanofabrication Technologies
Albuquerque, United States
Nanofabrication services and custom MEMS
Canatu
Helsinki, Finland
Carbon nanobud films for 3D touch surfaces
Imec
Leuven, Belgium
World-leading nanoelectronics and nanotechnology research center
NanoFab Centre
Edmonton, Canada
Open-access nanofabrication facility
Stanford Nanofabrication Facility
Stanford, United States
World-class university nanofabrication facility
Cornell NanoScale Facility
Ithaca, United States
NSF-supported national nanofabrication user facility
SUNY Poly CNSE
Albany, United States
Advanced semiconductor and nanotechnology research
SCREEN Semiconductor Nano
Kyoto, Japan
Semiconductor wafer cleaning and processing equipment
Tokyo Electron Nano
Tokyo, Japan
Nanoscale semiconductor processing equipment
Advantest Nano
Tokyo, Japan
Semiconductor test and nanoscale metrology systems
Ultratech (Veeco)
San Jose, United States
Laser spike annealing and lithography systems
Nanometrics (Onto Innovation)
Wilmington, United States
Advanced semiconductor metrology and inspection
Camtek
Migdal HaEmek, Israel
Automated optical inspection for advanced packaging
SCIL Nanoimprint Solutions
Eindhoven, Netherlands
Substrate conformal imprint lithography for nanometer resolution patterns on 300mm wafers
Canon Nanotechnologies
Austin, United States
Nanoimprint lithography equipment and materials for semiconductor manufacturing
Chiral
Zurich, Switzerland
Robotic machines for automated wafer-scale integration of nanomaterials into electronics
Nano3Dprint
San Jose, United States
Multi-material 3D printers with 50nm resolution for electronics and biomolecules
UpNano
Vienna, Austria
High-speed two-photon 3D printing for microoptics and biomedical applications