Nanosemiconductors
Semiconductor devices at sub-10nm nodes and beyond
Nanometrics (Onto Innovation)
Wilmington, United States
Process control metrology and inspection systems for advanced semiconductor manufacturing
CVD Equipment Corporation
Central Islip, United States
Designer and manufacturer of CVD systems for graphene, carbon nanotubes, and advanced materials synthesis
Veeco Instruments
Plainview, United States
Leading supplier of process equipment for semiconductor, data storage, and advanced packaging applications
Nanosphere Inc
Santa Clara, United States
Developer of silicon nanoparticle technology for solar cell efficiency enhancement
Nantero
Woburn, United States
Developer of NRAM nonvolatile memory technology using carbon nanotube fabrics
Imec
Leuven, Belgium
World-leading research and development hub for nanoelectronics and digital technologies
Samsung Advanced Institute of Technology
Suwon, South Korea
Samsung's R&D hub for next-generation technologies including QLED displays and nanoelectronics
TSMC
Hsinchu, Taiwan
World's largest dedicated semiconductor foundry, leading in advanced nanoscale chip manufacturing
ASML
Veldhoven, Netherlands
World leader in photolithography equipment including EUV systems for sub-7nm chip manufacturing
Applied Materials
Santa Clara, United States
Leading semiconductor equipment company providing nanofabrication solutions for chip manufacturing
Lam Research
Fremont, United States
Global supplier of wafer fabrication equipment for semiconductor manufacturing at the nanoscale
NanoIntegris
Boisbriand, Canada
Producer of high-purity semiconducting and metallic carbon nanotubes
Showa Denko - VGCF
Tokyo, Japan
Producer of vapor grown carbon fiber for battery and composite applications
Nanosys China
Suzhou, China
Chinese subsidiary focused on quantum dot materials for display industry
Canatu
Helsinki, Finland
Developer of carbon nanobud technology for transparent conductive films and 3D touch sensors
Lumileds
Schiphol, Netherlands
Global leader in LED lighting using nanoscale semiconductor technology
Denton Vacuum
Moorestown, United States
US manufacturer of thin film deposition systems for nanoscale coatings
IQE plc
Cardiff, United Kingdom
Global leader in compound semiconductor wafer technology and epitaxy services
WIN Semiconductors
Taoyuan, Taiwan
World's largest pure-play compound semiconductor foundry specializing in GaAs
II-VI Incorporated (Coherent)
Saxonburg, United States
Leading compound semiconductor and laser optics company
Hansol Chemical
Seoul, South Korea
Korean producer of quantum dots and electronic materials
Cree (Wolfspeed)
Durham, United States
World leader in silicon carbide semiconductors
A*STAR IME
Singapore, Singapore
Singapore's leading microelectronics research institute
SK Hynix
Icheon, South Korea
World's second-largest memory chip maker using advanced nanofabrication
Micron Technology
Boise, United States
Major memory and storage solutions company using nanotechnology
GlobalFoundries
Malta, United States
Leading semiconductor foundry with advanced nanoscale manufacturing
UMC
Hsinchu, Taiwan
Major semiconductor foundry with nanoscale manufacturing capabilities
SMIC
Shanghai, China
China's largest semiconductor foundry
X-FAB
Tessenderlo, Belgium
European specialty foundry for MEMS and analog semiconductors
Soitec
Bernin, France
World leader in engineered substrates for semiconductor industry
Shin-Etsu Chemical
Tokyo, Japan
World's largest silicon wafer and semiconductor materials producer
SUMCO
Tokyo, Japan
Second-largest silicon wafer producer globally
Siltronic
Munich, Germany
Major European silicon wafer manufacturer
SK Siltron
Gumi, South Korea
Korean silicon wafer and SiC substrate manufacturer
Versum Materials (Merck)
Tempe, United States
Specialty materials supplier for semiconductor industry
Camtek
Migdal HaEmek, Israel
Leading supplier of inspection and metrology equipment for advanced packaging
NuFlare Technology
Numazu, Japan
Leading manufacturer of mask writers and e-beam lithography for EUV
2D Semiconductors
Scottsdale, United States
Manufacturer of 2D materials including MoS2, WS2, and other TMDCs
SiTime
Santa Clara, United States
Leader in MEMS-based timing solutions replacing quartz oscillators
Qorvo MEMS
Greensboro, United States
RF MEMS filters and switches for mobile and 5G applications
Innatera Nanosystems
Delft, Netherlands
Ultra-low-power neuromorphic sensing and processing company
GrAI Matter Labs
Paris, France
Developer of GrAI VIP neuromorphic processor for edge AI
Rain Neuromorphics
San Francisco, United States
Analog-digital neuromorphic chip for brain-like AI acceleration
Avalanche Technology
Fremont, United States
Pioneer in perpendicular STT-MRAM technology
Atomera
Los Gatos, United States
MST atomic layer engineering for improved transistor performance
Veeco Instruments
Plainview, United States
Thin film deposition and etch equipment for semiconductors
Plasma-Therm
St. Petersburg, United States
Plasma etch and deposition for compound semiconductors
Samco
Kyoto, Japan
Plasma processing systems for semiconductor and MEMS
JSR Corporation
Tokyo, Japan
Advanced materials for semiconductor lithography including EUV resists
Tokyo Ohka Kogyo
Kawasaki, Japan
Leading photoresist manufacturer for semiconductor lithography
Canon Semiconductor Equipment
Tokyo, Japan
Nanoimprint lithography and semiconductor equipment
Samsung Electronics Foundry
Hwaseong, South Korea
Advanced semiconductor foundry with sub-5nm processes
MediaTek
Hsinchu, Taiwan
Fabless semiconductor company using advanced nano nodes
Powerchip Semiconductor
Hsinchu, Taiwan
Specialty foundry for memory and logic ICs
AMEC
Shanghai, China
Advanced semiconductor etch equipment for leading nodes
Hangzhou Silan
Hangzhou, China
IDM semiconductor company with MEMS sensors
Infineon Technologies
Munich, Germany
Major semiconductor company with MEMS and power devices
Aixtron SE
Herzogenrath, Germany
MOCVD and deposition equipment for compound semiconductors
Süss MicroTec
Garching, Germany
Mask aligners and lithography equipment for MEMS
NXP Semiconductors
Eindhoven, Netherlands
Major semiconductor company for automotive and IoT
STMicroelectronics
Geneva, Switzerland
Global semiconductor leader in MEMS, MCUs, and SiC
Comet Holding
Flamatt, Switzerland
RF power and x-ray systems for semiconductor manufacturing
Orbotech
Yavne, Israel
PCB and display inspection equipment, now part of KLA
AMD
Santa Clara, United States
Leading CPU and GPU company with advanced node designs
Qualcomm
San Diego, United States
Leading wireless semiconductor company with 5G and AI chips
Broadcom
San Jose, United States
Major semiconductor company for networking and infrastructure
Marvell Technology
Wilmington, United States
Data infrastructure semiconductor company
Micron Technology
Boise, United States
Major memory manufacturer with advanced DRAM and NAND
Western Digital
San Jose, United States
Major flash memory and storage company
Texas Instruments
Dallas, United States
Leading analog and embedded semiconductor company
ON Semiconductor
Phoenix, United States
Intelligent power and sensing solutions
Skyworks Solutions
Irvine, United States
RF semiconductor solutions for mobile and IoT
MKS Instruments
Andover, United States
Process control and vacuum technology for semiconductors
Onto Innovation
Wilmington, United States
Process control and lithography systems for semiconductors
Ambarella
Santa Clara, United States
AI vision processors with advanced CV and imaging
Lattice Semiconductor
Hillsboro, United States
Low power FPGAs for edge AI and embedded
MaxLinear
Carlsbad, United States
RF and mixed-signal semiconductors for broadband
Wolfspeed
Durham, United States
World leader in SiC and GaN power semiconductors
II-VI Coherent
Pittsburgh, United States
Compound semiconductor materials and lasers
Cree LED
Durham, United States
High-power LED technology, spun off from Wolfspeed
Navitas Semiconductor
Torrance, United States
GaN power IC pioneer for fast charging and EVs
Transphorm
Goleta, United States
GaN power FETs for high-efficiency power conversion
Efficient Power Conversion
El Segundo, United States
GaN power transistor pioneer
GaN Systems
Ottawa, Canada
GaN power semiconductors for EV and power
Silanna Semiconductor
Brisbane, Australia
Advanced power and UV LED semiconductors
SICC Materials
Jinan, China
Major Chinese SiC wafer manufacturer
TanKeBlue Semiconductor
Beijing, China
SiC and sapphire substrate manufacturer
San'an Optoelectronics
Xiamen, China
Largest Chinese LED and compound semiconductor company
Changelight
Yangzhou, China
LED epitaxy and chips manufacturer
Nano Labs
Hangzhou, China
Distributed computing chip manufacturer
Inari Amertron
Penang, Malaysia
RF and optoelectronic packaging services
Resonac Holdings
Tokyo, Japan
Semiconductor materials from Showa Denko and Hitachi Chemical merger
Ajinomoto Build-up Film
Tokyo, Japan
Dominant supplier of ABF substrate materials
Dupont Electronics
Wilmington, United States
Advanced materials for semiconductor manufacturing
CMC Materials
Aurora, United States
CMP slurries and pads for semiconductor polishing
Brewer Science
Rolla, United States
Advanced lithography materials including anti-reflective coatings
Dow Electronic Materials
Midland, United States
CMP slurries and specialty materials for electronics
Fujifilm Electronic Materials
Tokyo, Japan
Photoresists and electronic materials for semiconductors
Sumitomo Chemical Electronic Materials
Tokyo, Japan
Photoresists and display materials
REC Silicon
Sandvika, Norway
High-purity silicon materials for solar and electronics
Okmetic
Vantaa, Finland
High-quality silicon wafers for sensors and MEMS
Siltronic Singapore
Singapore, Singapore
Silicon wafer manufacturing facility in Singapore
GlobalWafers Singapore
Singapore, Singapore
Major silicon wafer manufacturer
Micron Singapore
Singapore, Singapore
Major memory manufacturing and R&D facility
SemiConductor Laboratory
Chandigarh, India
India's semiconductor fab with MEMS and nano capabilities
ON Semiconductor Czech
Rožnov pod Radhoštěm, Czech Republic
Major semiconductor manufacturing facility
Plessey Semiconductors
Plymouth, United Kingdom
GaN-on-Silicon micro-LED technology
Intrinsic ID
Eindhoven, Netherlands
Physical Unclonable Function security at nanoscale
Nanoss (NanoSemi)
Burlington, United States
AI-powered RF semiconductor optimization
Ulvac
Chigasaki, Japan
Vacuum deposition and processing systems
Advantest
Tokyo, Japan
Semiconductor test and measurement systems
SCREEN Holdings
Kyoto, Japan
Semiconductor cleaning and coating equipment
Lasertec
Yokohama, Japan
EUV mask inspection systems
Tokyo Electron
Tokyo, Japan
Major semiconductor equipment manufacturer for coaters, etchers, and deposition
ASM International
Almere, Netherlands
Leading ALD and epitaxy equipment supplier for advanced semiconductor nodes
Kokusai Electric
Tokyo, Japan
Batch processing equipment for semiconductor deposition and diffusion
Teradyne
North Reading, United States
Automatic test equipment for semiconductors, wireless, and industrial applications
Cohu
Poway, United States
Semiconductor test and inspection handlers and contactors
Axcelis Technologies
Beverly, United States
Ion implantation systems for semiconductor doping applications
Coherent Corp
Saxonburg, United States
Lasers, optics, and compound semiconductor materials for technology applications
Trumpf
Ditzingen, Germany
Industrial lasers and EUV source technology for semiconductor lithography
Renesas Electronics
Tokyo, Japan
MCUs, SoCs, and analog ICs for automotive and industrial applications
Rohm Semiconductor
Kyoto, Japan
SiC power devices, analog ICs, and discrete semiconductors
Microchip Technology
Chandler, United States
MCUs, analog, and FPGA solutions for embedded systems
Analog Devices
Wilmington, United States
High-performance analog, mixed-signal, and digital signal processing
Qorvo
Greensboro, United States
RF solutions including GaN for mobile, defense, and infrastructure
Vishay Intertechnology
Malvern, United States
Discrete semiconductors and passive components for electronics
Shin-Etsu Chemical
Tokyo, Japan
Silicon wafers, silicones, and specialty chemicals
GlobalWafers
Hsinchu, Taiwan
Silicon wafers for logic, memory, and power semiconductors
JSR Corporation
Tokyo, Japan
Photoresists, CMP slurries, and display materials for semiconductors
TOK (Tokyo Ohka Kogyo)
Kawasaki, Japan
Photoresists and process chemicals for semiconductor manufacturing
Fujifilm Electronic Materials
Tokyo, Japan
Photoresists, CMP slurries, and cleaning chemicals
DuPont Electronics & Industrial
Wilmington, United States
Advanced materials including photoresists, CMP, and packaging materials
Versum Materials (Merck)
Tempe, United States
Specialty gases and precursors for semiconductor manufacturing (now Merck)
Air Liquide Electronics
Paris, France
Ultra-high purity gases and precursors for semiconductor manufacturing
Linde Electronics
Danbury, United States
Electronic specialty gases and on-site gas supply for fabs
Nippon Sanso (Taiyo Nippon Sanso)
Tokyo, Japan
Industrial and specialty gases including electronic grades
SK Materials
Yeongju, South Korea
Specialty gases and materials for semiconductor manufacturing
Dongjin Semichem
Hwaseong, South Korea
Photoresists and display materials for Korean semiconductor industry
NS Materials
Fukuoka, Japan
InP quantum dots for display and lighting applications
Dowa Electronics Materials
Tokyo, Japan
Metal nanoparticles and electronic materials
II-VI Advanced Materials (Coherent)
Saxonburg, United States
Infrared materials and compound semiconductors
Taiwan Semiconductor Research Institute
Hsinchu, Taiwan
Advanced semiconductor and nanoelectronics research
Sharp Display Technology
Sakai, Japan
IGZO displays with nano-crystalline oxide semiconductors
Infineon Technologies
Neubiberg, Germany
MEMS pressure sensors and automotive semiconductors
Nanusens
Cambridge, United Kingdom
CMOS-MEMS integrated sensors
Novosense Microelectronics
Suzhou, China
Automotive sensors and signal chain ICs
Epistar Corporation
Hsinchu, Taiwan
LED epitaxial wafers with nano-scale structures
San'an Optoelectronics
Xiamen, China
LED chips and III-V compound semiconductors
HC SemiTek
Suzhou, China
LED chips for displays and lighting
II-VI Incorporated (Coherent)
Saxonburg, United States
Compound semiconductors and photonics materials
ON Semiconductor
Phoenix, United States
Image sensors and SiC power semiconductors
Sony Semiconductor Solutions
Atsugi, Japan
CMOS image sensors with nano-scale stacking
Samsung System LSI
Hwaseong, South Korea
Image sensors and mobile processors
GalaxyCore
Shanghai, China
CMOS image sensors for mobile and automotive
SmartSens Technology
Shanghai, China
CMOS image sensors for security and automotive
NanoIntegris
Montreal, Canada
Semiconducting and metallic carbon nanotube separation
KLA Corporation
Milpitas, United States
Process control and yield management for semiconductors
HQ Graphene
Groningen, Netherlands
High-quality 2D materials and crystals
SiLC Technologies
Monrovia, United States
FMCW LiDAR using silicon photonics
Luminous Computing
Palo Alto, United States
Optical computing for AI at massive scale
OCI Holdings
Seoul, South Korea
Polysilicon and carbon materials
Dongwoo Fine-Chem
Iksan, South Korea
Electronic chemicals and semiconductor materials
ENF Technology
Hwaseong, South Korea
Semiconductor photoresists and process chemicals
Echem
Cheonan, South Korea
CMP slurries and semiconductor chemicals
Chang Chun Group
Taipei, Taiwan
Electronic chemicals and petrochemicals
LCY Chemical
Taipei, Taiwan
Electronic-grade chemicals and specialty materials
Tianjin Zhonghuan Semiconductor
Tianjin, China
Silicon wafers for semiconductors and solar
Hua Hong Semiconductor
Shanghai, China
Specialty foundry for power and analog ICs
Andes Technology
Hsinchu, Taiwan
RISC-V processor IP cores
SiFive
San Mateo, United States
Leading RISC-V processor IP provider
Codasip
Munich, Germany
Customizable RISC-V processor IP
Esperanto Technologies
Mountain View, United States
RISC-V based AI accelerators
Ventana Micro Systems
Cupertino, United States
High-performance RISC-V for datacenter
Tenstorrent
Toronto, Canada
AI processors using RISC-V and novel architecture
Groq
Mountain View, United States
AI inference accelerators with deterministic performance
Cerebras Systems
Sunnyvale, United States
Wafer-scale AI chips
Graphcore
Bristol, United Kingdom
Intelligence Processing Units for AI
Habana Labs
Tel Aviv, Israel
AI training and inference processors (Intel)
Hailo
Tel Aviv, Israel
Hailo is a pioneering Israeli semiconductor company specializing in high-performance edge AI processors designed for real-time applications across automotive, smart city, industrial, and retail sectors. Founded in 2017, the company has emerged as a leader in delivering energy-efficient AI acceleration at the edge, enabling devices to perform complex deep learning tasks locally without relying on cloud connectivity. Hailo's flagship product, the Hailo-8 AI processor, delivers up to 26 tera-operations per second (TOPS) while consuming minimal power, making it ideal for battery-powered and embedded systems. The architecture employs a novel dataflow approach that optimizes neural network execution by restructuring networks to match hardware capabilities, achieving superior performance-per-watt ratios compared to traditional GPU and CPU-based solutions. The company's newer Hailo-15 vision processor extends these capabilities specifically for automotive applications, supporting advanced driver assistance systems (ADAS) and autonomous driving with multiple camera inputs and sensor fusion. Hailo's technology addresses critical challenges in edge AI deployment, including latency reduction, privacy preservation through on-device processing, bandwidth optimization, and operational cost reduction. The processors support all major deep learning frameworks including TensorFlow, PyTorch, ONNX, and Keras, with a comprehensive software development kit that simplifies model deployment. With over $200 million in funding and strategic partnerships with major automotive OEMs, camera manufacturers, and system integrators worldwide, Hailo is enabling the next generation of intelligent edge devices across industries, from smart cameras and robotics to healthcare devices and industrial automation systems.
Mythic
Austin, United States
Mythic is a revolutionary semiconductor company pioneering analog compute-in-memory technology for artificial intelligence acceleration. Founded in 2012 and based in Austin, Texas, Mythic has developed a breakthrough approach to AI processing that fundamentally differs from traditional digital architectures by storing neural network weights directly in analog flash memory and performing matrix computations using analog circuits. This innovative architecture delivers exceptional energy efficiency and performance density, enabling powerful AI inference capabilities in edge devices with minimal power consumption. The company's flagship M1076 Analog Matrix Processor combines analog computation with digital precision, achieving up to 25 trillion operations per second per watt, orders of magnitude more efficient than conventional digital processors. By eliminating the need to constantly move data between memory and processing units, Mythic's technology overcomes the von Neumann bottleneck that limits traditional computing architectures. The M1076 chip integrates multiple tiles of analog compute arrays, enabling scalable performance for demanding AI workloads including computer vision, natural language processing, and sensor fusion applications. Mythic's solution is particularly suited for edge AI applications in smart cameras, drones, augmented reality devices, automotive systems, and industrial IoT where power constraints are critical. The technology supports standard AI frameworks and models, with a software stack that enables seamless deployment of networks trained on conventional platforms. With over $100 million in funding from leading venture capital firms and strategic investors, Mythic is commercializing analog AI processing for next-generation intelligent edge devices, offering a compelling alternative to power-hungry digital accelerators while maintaining accuracy and flexibility required for production deployments.
Untether AI
Toronto, Canada
Untether AI is a Canadian semiconductor innovator pioneering at-memory compute architecture for artificial intelligence inference acceleration. Founded in 2018 and headquartered in Toronto, the company has developed a revolutionary approach that fundamentally reimagines how AI computations are performed by bringing compute directly to where data resides in memory, eliminating the performance bottleneck of data movement that plagues traditional architectures. Their flagship tsunAImi inference accelerator chip delivers industry-leading performance per watt and performance per dollar for AI workloads in data centers and edge deployments. The tsunAImi architecture features a massively parallel array of processing elements tightly coupled with memory banks, enabling thousands of simultaneous compute operations without the energy-intensive data transfers required by conventional von Neumann designs. This innovative design achieves over 2 petaOPS of AI inference performance while maintaining exceptional energy efficiency, making it ideal for deploying large-scale AI models in cloud infrastructure, autonomous systems, and intelligent edge applications. Untether's runAI software platform provides a complete development environment supporting TensorFlow, PyTorch, and ONNX frameworks, enabling seamless migration of existing AI models to their hardware with minimal engineering effort. The technology addresses critical pain points in AI deployment including inference latency, power consumption, total cost of ownership, and scalability for production workloads. With over $150 million in funding from prominent venture capital and strategic investors, Untether AI is targeting high-performance computing markets where AI inference demands exceed capabilities of conventional GPU and CPU-based solutions, including autonomous vehicles, robotics, natural language processing, computer vision, and large-scale recommendation systems deployed by hyperscale cloud providers and enterprises.
Blaize
El Dorado Hills, United States
Blaize is an advanced edge AI computing company developing programmable graph streaming processor architecture for intelligent edge applications across automotive, smart vision, and enterprise markets. Founded in 2010 and based in El Dorado Hills, California, Blaize has pioneered a unique graph native processing architecture that efficiently executes AI workloads by representing neural networks as computational graphs and streaming data through optimized processing pathways. This innovative approach delivers superior performance, power efficiency, and flexibility compared to traditional AI accelerator designs. The company's Pathfinder P-Series processors are designed for embedded edge AI applications requiring real-time processing with minimal power consumption, supporting advanced computer vision, sensor fusion, and decision-making capabilities. The Xplorer X-Series targets higher-performance edge server and intelligent gateway applications, enabling AI inference at scale for multiple concurrent workloads. Blaize's El Cano software development kit provides comprehensive tools for developing, optimizing, and deploying AI models across their processor family, supporting popular frameworks including TensorFlow, PyTorch, ONNX, and Caffe. The architecture's graph streaming design enables efficient execution of diverse neural network topologies including convolutional networks, recurrent networks, transformers, and hybrid models. Key applications include autonomous vehicles requiring multi-sensor processing, smart retail analytics, industrial robotics and inspection systems, intelligent surveillance and security, and medical imaging devices. With over $200 million in funding and strategic partnerships with tier-one automotive manufacturers and industrial leaders, Blaize is enabling the next generation of edge AI deployments where latency, privacy, bandwidth, and energy efficiency are paramount concerns for production systems.
Recogni
San Jose, United States
Recogni is a specialized semiconductor company developing ultra-high-performance AI vision processors specifically designed for autonomous vehicle perception systems. Founded in 2017 in San Jose, California, Recogni is addressing the massive computational demands of self-driving vehicles that must process data from multiple high-resolution cameras, radar, and lidar sensors simultaneously while making real-time decisions with exceptional accuracy and reliability. The company's proprietary vision AI processor architecture delivers unprecedented performance density, achieving over 1,000 tera-operations per second (TOPS) of AI compute performance optimized specifically for computer vision and sensor fusion workloads critical to autonomous driving. Unlike general-purpose AI accelerators, Recogni's chips are purpose-built for automotive perception tasks including object detection, classification, tracking, semantic segmentation, depth estimation, and multi-sensor fusion across camera, radar, and lidar inputs. The architecture incorporates specialized hardware blocks for image signal processing, vision preprocessing, neural network acceleration, and post-processing operations, creating an efficient end-to-end pipeline from raw sensor data to perception outputs. Recogni's technology enables Level 4 and Level 5 autonomous driving capabilities while meeting stringent automotive requirements for safety, reliability, temperature tolerance, and cost-effectiveness. The processor design incorporates redundancy and functional safety features compliant with ISO 26262 automotive safety standards, essential for production deployment in safety-critical applications. The company's software stack supports leading AI frameworks and provides tools for optimizing perception models developed by automotive OEMs and tier-one suppliers. With over $100 million in funding from automotive-focused venture capital and strategic investors, Recogni is partnering with major automakers and autonomous vehicle developers to deliver the next generation of perception computing for self-driving cars, trucks, and robotaxis.
Cambricon
Beijing, China
Cambricon Technologies is China's leading AI chip designer and one of the world's first companies dedicated exclusively to developing processors for artificial intelligence applications. Founded in 2016 as a spinout from the Chinese Academy of Sciences and headquartered in Beijing, Cambricon went public on Shanghai's STAR Market in 2020, becoming one of the most prominent Chinese AI semiconductor companies. The company designs and develops a comprehensive portfolio of AI processors spanning cloud training and inference, edge computing, and intelligent terminal devices. Cambricon's flagship MLU (Machine Learning Unit) series processors target data center AI workloads, competing with NVIDIA's GPUs and other AI accelerators for training and deploying large-scale machine learning models. The MLU product line includes both training-focused chips like the MLU370 and inference-optimized processors like the MLU270, offering scalability from single-chip solutions to multi-chip clusters for enterprise and cloud deployments. For edge applications, Cambricon offers the Siyuan series of processors designed for intelligent cameras, robots, drones, and IoT devices requiring AI capabilities with constrained power budgets. The company has developed a complete AI computing ecosystem including the Neuware software development platform supporting major deep learning frameworks, optimized neural network libraries, and tools for model compression and deployment. Cambricon's technology is deployed across diverse industries including smart cities, autonomous driving, intelligent manufacturing, healthcare, finance, and consumer electronics. The company serves major Chinese technology firms, cloud service providers, and government agencies implementing AI infrastructure. As China pursues semiconductor self-sufficiency, Cambricon plays a strategic role in developing indigenous AI computing capabilities independent of foreign technology. With over 1,000 employees including leading AI and chip design engineers, Cambricon continues advancing AI processor architectures, software tools, and applications while expanding its market presence domestically and internationally.
Enflame Technology
Shanghai, China
Enflame Technology is a prominent Chinese AI chip company specializing in high-performance processors for AI training and inference workloads in cloud data centers and edge deployments. Founded in 2018 and based in Shanghai, Enflame has rapidly emerged as a major player in China's domestic AI semiconductor industry, developing competitive alternatives to dominant international AI accelerators. The company's flagship CloudBlazer series processors are designed for large-scale AI training in cloud environments, delivering hundreds of teraFLOPS of compute performance optimized for deep learning workloads including large language models, computer vision networks, and recommendation systems. CloudBlazer chips feature innovative architecture incorporating high-bandwidth memory, efficient interconnects for multi-chip scaling, and optimized tensor computation units that accelerate matrix operations fundamental to neural network training and inference. Enflame's DTU (Deep Learning Training Unit) products target enterprise AI infrastructure, providing cost-effective performance for organizations deploying AI at scale across various industries. The company has developed a comprehensive software ecosystem called TopsRider that supports major AI frameworks including TensorFlow, PyTorch, and PaddlePaddle, enabling seamless deployment of existing models with minimal code changes. Enflame emphasizes ease of migration and developer productivity through extensive optimization libraries, debugging tools, and performance profiling capabilities. The technology serves diverse applications including autonomous driving perception and planning, natural language processing and chatbots, recommendation engines for e-commerce and content platforms, intelligent video analytics, and scientific computing simulations. With over $500 million in funding from leading Chinese venture capital firms and strategic investors, Enflame has built a team of over 500 engineers and established partnerships with major cloud service providers, telecommunications companies, and internet giants throughout China. The company represents China's push toward AI semiconductor independence and technological self-reliance in critical computing infrastructure.
Horizon Robotics
Beijing, China
AI processors for intelligent driving
Black Sesame Technologies
Shanghai, China
Autonomous driving AI chips
Iluvatar CoreX
Shanghai, China
GPGPU for AI and HPC
Biren Technology
Shanghai, China
General purpose GPU for AI and datacenter
Moore Threads
Beijing, China
Full-function GPU for gaming and AI
Loongson Technology
Beijing, China
Chinese CPU designer
Phytium Technology
Tianjin, China
ARM-based high-performance CPUs
Zhaoxin
Shanghai, China
x86 compatible processors
Hygon Information Technology
Chengdu, China
Server CPUs based on AMD Zen architecture
Montage Technology
Shanghai, China
Memory interface and server chips
Changxin Memory Technologies
Hefei, China
DRAM memory manufacturer
YMTC (Yangtze Memory)
Wuhan, China
3D NAND flash memory
GigaDevice Semiconductor
Beijing, China
NOR flash and MCUs
UNISOC
Shanghai, China
Mobile and IoT chipsets
Will Semiconductor
Shanghai, China
CMOS image sensors and display drivers
Goodix Technology
Shenzhen, China
Fingerprint and touch sensors
Silergy Corporation
Hangzhou, China
High-performance analog ICs
StarPower Semiconductor
Jiaxing, China
IGBT modules for EVs and industrial
BYD Semiconductor
Shenzhen, China
IGBT and MCU for automotive
Silan Microelectronics
Hangzhou, China
Power and analog semiconductors
NXP Semiconductors
Eindhoven, Netherlands
Secure connectivity for automotive and IoT
STMicroelectronics
Geneva, Switzerland
MCUs, MEMS, and power semiconductors
Diodes Incorporated
Plano, United States
Discrete, analog, and mixed-signal semiconductors
EPC (Efficient Power Conversion)
El Segundo, United States
Enhancement-mode GaN FETs and ICs
Innoscience
Suzhou, China
GaN power devices with IDM model
SANAN IC
Xiamen, China
Compound semiconductor foundry (SiC, GaN)
Nexperia
Nijmegen, Netherlands
Discrete and MOSFET components
Semikron Danfoss
Nuremberg, Germany
Power semiconductor modules
Fuji Electric
Tokyo, Japan
Power semiconductors and industrial systems
Mitsubishi Electric Power Devices
Fukuoka, Japan
Power semiconductor modules
Toshiba Electronic Devices
Tokyo, Japan
Power devices and mixed-signal ICs
Littelfuse
Chicago, United States
Circuit protection and power semiconductors
Allegro MicroSystems
Manchester, United States
Magnetic sensor ICs and power semiconductors
Elmos Semiconductor
Dortmund, Germany
Automotive mixed-signal semiconductors
Dialog Semiconductor
Reading, United Kingdom
Power management and BLE (now Renesas)
FTDI Chip
Glasgow, United Kingdom
USB connectivity and embedded solutions
Realtek Semiconductor
Hsinchu, Taiwan
Networking, audio, and multimedia ICs
Novatek Microelectronics
Hsinchu, Taiwan
Display driver ICs and SoCs
Himax Technologies
Tainan, Taiwan
Display drivers and AR/VR components
Parade Technologies
Hsinchu, Taiwan
High-speed interface and display ICs
Nordic Semiconductor
Trondheim, Norway
Ultra low power wireless solutions
Semtech Corporation
Camarillo, United States
LoRa technology and analog semiconductors
Espressif Systems
Shanghai, China
Wi-Fi and BLE SoCs for IoT
Airoha Technology
Hsinchu, Taiwan
Bluetooth audio SoCs
Bestechnic
Shanghai, China
Bluetooth audio chips
Actions Semiconductor
Zhuhai, China
Multimedia and Bluetooth SoCs
Lattice Semiconductor
Portland, United States
Low power FPGAs for edge AI
Achronix Semiconductor
Santa Clara, United States
High-performance FPGAs and eFPGA IP
Gowin Semiconductor
Guangzhou, China
Programmable logic devices
Anlogic
Shanghai, China
Chinese FPGA manufacturer
AGM Microelectronics
Shanghai, China
FPGA and PSoC devices
Macom Technology
Lowell, United States
High-performance analog semiconductors
pSemi Corporation
San Diego, United States
RF SOI solutions (Murata)
Vanchip
Hangzhou, China
RF front-end modules
Maxscend Microelectronics
Nanjing, China
RF front-end chips
Lansus Technologies
Wuxi, China
SAW and TC-SAW filters
Akoustis Technologies
Huntersville, United States
Bulk acoustic wave RF filter solutions
Ambiq Micro
Austin, United States
Ultra-low power semiconductors for IoT
Atmosic Technologies
San Jose, United States
Lowest power BLE with energy harvesting
Malaysian Institute of Microelectronic Systems
Kuala Lumpur, Malaysia
National R&D center for ICT with semiconductor and nanotechnology research
National Taiwan University Nano
Taipei, Taiwan
Taiwan's top university with Center for Condensed Matter Sciences
National Tsing Hua University Nano
Hsinchu, Taiwan
Top Taiwan university with Center for Nanotechnology
Industrial Technology Research Institute Nano
Hsinchu, Taiwan
Taiwan's largest applied research institute with nanotech programs
Zhejiang University Nano
Hangzhou, China
Top Chinese university with State Key Lab of Silicon Materials
Technical University of Munich Nano
Munich, Germany
Top German technical university with Walter Schottky Institute
Meijo Nano Carbon
Nagoya, Japan
High-purity carbon nanotube production in Japan
NanoIntegris (Raymor)
Boisbriand, Canada
Sorted semiconducting and metallic carbon nanotubes
Seoul Semiconductor
Ansan, South Korea
LED manufacturer with WICOP and SunLike technology
Osram
Munich, Germany
LED and photonics technology for lighting and automotive
Nichia Corporation
Tokushima, Japan
Pioneer of blue LED, major LED and phosphor manufacturer
ASML Holding
Veldhoven, Netherlands
World leader in EUV lithography systems for sub-7nm chip manufacturing
DISCO Corporation
Tokyo, Japan
Precision cutting, grinding, and polishing for semiconductors
Kulicke & Soffa
Fort Washington, United States
Wire and die bonding equipment for semiconductor packaging
ACM Research
Fremont, United States
Single-wafer wet cleaning and electroplating equipment
PDF Solutions
Santa Clara, United States
Process control and yield analytics for semiconductor manufacturing
Amkor Technology
Tempe, United States
Leading OSAT for semiconductor packaging and test services
JCET Group
Wuxi, China
China's largest semiconductor packaging and test company
Powertech Technology
Hsinchu, Taiwan
Memory packaging specialist and OSAT provider
ChipMOS Technologies
Hsinchu, Taiwan
Semiconductor assembly and test for memory and drivers
King Yuan Electronics
Hsinchu, Taiwan
Independent semiconductor test house
Shinko Electric Industries
Nagano, Japan
IC substrates and semiconductor packaging materials
Ibiden
Ogaki, Japan
High-density IC substrates and packaging materials
Unimicron
Taoyuan, Taiwan
IC substrates and HDI PCBs for semiconductor packaging
Nan Ya PCB
New Taipei, Taiwan
ABF substrates and PCBs for semiconductor applications
Sumitomo Electric Industries
Osaka, Japan
Compound semiconductors including GaN and SiC substrates
Infineon Technologies
Neubiberg, Germany
MEMS sensors and power semiconductors for automotive and industrial
MEMSensing
Wuxi, China
Chinese MEMS inertial sensors and accelerometers
Kionix (ROHM)
Ithaca, United States
MEMS accelerometers and gyroscopes (ROHM subsidiary)
Teledyne DALSA MEMS
Bromont, Canada
MEMS foundry and custom sensor development
Coventor (Lam Research)
Cary, United States
MEMS design and simulation software (Lam Research)
Showa Denko Materials
Tokyo, Japan
Advanced materials for semiconductors including photoresists, CMP slurries and battery anode materials
ROHM Semiconductor
Kyoto, Japan
Wide bandgap semiconductors including SiC MOSFETs and power modules for automotive and industrial
Navitas Semiconductor
Torrance, United States
GaNFast power ICs and GeneSiC SiC technology for mobile chargers, EVs and data centers
Everlight Electronics
New Taipei, Taiwan
LED components and infrared devices for consumer, industrial and automotive applications
Epistar Corporation
Hsinchu, Taiwan
LED epitaxial wafer and chip manufacturer with GaN and AlGaInP technology (merged into Ennostar)
Aixtron SE
Herzogenrath, Germany
MOCVD equipment for compound semiconductors including GaN, SiC and GaAs epitaxy
Finisar (II-VI/Coherent)
San Jose, United States
Optical communication components including transceivers and VCSELs (now part of Coherent)
Opto Diode (ITW Photonics)
Newbury Park, United States
Custom optoelectronic solutions with LEDs, photodetectors and optocouplers for demanding applications
II-VI Infrared (Coherent)
Saxonburg, United States
Infrared optics and materials for thermal imaging, defense and CO2 laser applications
Spectra-Physics (MKS)
Santa Clara, United States
Premium scientific and industrial lasers including ultrafast, CW and pulsed systems (MKS Instruments)
POET Technologies
Toronto, Canada
Optical interposer platform integrating electronics and photonics for data center optics
AMD AI
Santa Clara, United States
High-performance computing company with Instinct AI accelerators and EPYC processors
Qualcomm AI
San Diego, United States
Mobile technology leader with on-device AI acceleration
MediaTek AI
Hsinchu, Taiwan
Fabless semiconductor company with APU technology for mobile and edge AI
Biren Technology
Shanghai, China
Chinese GPU startup developing high-performance AI chips
Enflame Technology
Shanghai, China
Chinese AI chip company for cloud training and inference
Tesla AI
Palo Alto, United States
Custom AI chips for autonomous driving and Dojo supercomputer
Esperanto Technologies
Mountain View, United States
Energy-efficient AI computing using RISC-V many-core processors
FuriosaAI
Seoul, South Korea
Korean AI semiconductor company for data center inference
Kneron
San Diego, United States
Edge AI processor company with reconfigurable NPU technology
Perceive
San Jose, United States
Ultra-low-power AI inference processors for edge devices
Quadric
Burlingame, United States
General-purpose neural processing units for edge AI
AlphaICs
Bengaluru, India
Edge AI processor company from India with Real AI Processor
NXP AI
Eindhoven, Netherlands
Semiconductor company with edge AI solutions for automotive and industrial
Renesas AI
Tokyo, Japan
Embedded AI solutions for automotive and industrial edge applications
Xilinx AI
San Jose, United States
Adaptive computing platform with AI engines in Versal chips
Space Forge
Cardiff, United Kingdom
UK company manufacturing advanced materials in space
Sumitomo Chemical Advanced Materials
Tokyo, Japan
Diversified chemical company providing advanced materials for electronics and display
NVIDIA
Santa Clara, United States
GPU and AI computing platform company pioneering deep learning hardware with advanced semiconductor nanotechnology
Mythic
Austin, United States
AI chip company using analog compute-in-memory for edge AI applications
Hailo
Tel Aviv, Israel
Edge AI processor company with specialized chips for deep learning at the edge
II-VI Laser Enterprise
Saxonburg, United States
Coherent Corp laser division providing semiconductor lasers and engineered materials
ON Semiconductor Imaging
Phoenix, United States
onsemi imaging solutions for automotive, industrial, and consumer applications
Samsung ISOCELL
Hwaseong, South Korea
Samsung image sensor division providing ISOCELL sensors for mobile and automotive
Mercury Systems
Andover, United States
Defense electronics company providing processing and sensor systems
Ledger
Paris, France
Hardware wallet company providing secure self-custody solutions for digital assets
Samsung Electronics
Suwon, South Korea
South Korean conglomerate and world's largest technology company by revenue
Canon Inc
Tokyo, Japan
Japanese multinational corporation specializing in imaging and optical products
First Solar
Tempe, United States
Leading American thin-film solar panel manufacturer
Bosch Mobility
Stuttgart, Germany
Bosch's mobility solutions division for automotive technology
Renesas Electronics
Tokyo, Japan
Japanese semiconductor company for automotive and industrial applications
Nanometrics Incorporated
Milpitas, United States
Nanometer-scale process control metrology
Nanoimprint Solutions
Tokyo, Japan
Nanoimprint lithography equipment and materials
NanoIntegris
Montreal, Canada
Separated and purified carbon nanotubes for electronics
Showa Denko Carbon
Tokyo, Japan
Carbon nanotube and graphite materials for electronics
Nanometrics Incorporated
Milpitas, United States
Advanced process control metrology for semiconductors
QuantumWise (Synopsys)
Copenhagen, Denmark
Atomistic simulation software for nanoelectronics
Silvaco
Santa Clara, United States
TCAD software for nanoscale semiconductor simulation
Nantero
Woburn, United States
Carbon nanotube-based NRAM memory technology
Imec
Leuven, Belgium
World-leading nanoelectronics and nanotechnology research center
SUNY Poly CNSE
Albany, United States
Advanced semiconductor and nanotechnology research
Tokyo Electron Nano
Tokyo, Japan
Nanoscale semiconductor processing equipment
Advantest Nano
Tokyo, Japan
Semiconductor test and nanoscale metrology systems
Veeco Instruments
Plainview, United States
Thin film deposition and nanoscale processing equipment
Ultratech (Veeco)
San Jose, United States
Laser spike annealing and lithography systems
Nanometrics (Onto Innovation)
Wilmington, United States
Advanced semiconductor metrology and inspection
Camtek
Migdal HaEmek, Israel
Automated optical inspection for advanced packaging
SPTS Technologies (KLA)
Newport, United Kingdom
Etch and deposition for MEMS and advanced packaging
SAMCO Inc
Kyoto, Japan
Plasma CVD and etch equipment for nanotechnology
Picosun (Applied Materials)
Espoo, Finland
Atomic layer deposition systems
Plassys Bestek
Marolles-en-Hurepoix, France
MBE and e-beam evaporation systems
Riber
Bezons, France
MBE systems for III-V and II-VI semiconductors
DCA Instruments
Turku, Finland
Compact MBE systems for research
SCIL Nanoimprint Solutions
Eindhoven, Netherlands
Substrate conformal imprint lithography for nanometer resolution patterns on 300mm wafers
Canon Nanotechnologies
Austin, United States
Nanoimprint lithography equipment and materials for semiconductor manufacturing
Chiral
Zurich, Switzerland
Robotic machines for automated wafer-scale integration of nanomaterials into electronics